module LED_AlphaDisplay_Control ( input wire clk, // Clock input input wire rst, // Reset input output wire [7:0] led_out, // LED output output wire [6:0] seg_out // 7-segment display output ); reg [7:0] counter = 8'b00000000; // 8-bit counter reg [6:0] display_value; // Value to be displayed on 7-segment display always @(posedge clk or posedge rst) begin if (rst) begin counter <= 8'b00000000; // Reset the counter end else begin counter <= counter + 1; // Increment the counter end end // LED output - represent the counter value in binary assign led_out = counter; // 7-segment display output - display the counter value always @(posedge clk) begin case (counter) 8'b00000000: display_value <= 7'b1000000; // Display '0' 8'b00000001: display_value <= 7'b1111001; // Display '1' // Add more cases for other digits here default: display_value <= 7'b1111111; // Display 'blank' for unsupported values endcase end assign seg_out = display_value; endmodule